1. Are you passionate about the IC Design Industry?
2. Do you want to contribute to the development of next-generation CMOS Image Sensors?
Sony Europe Technology Development Centre (EUTDC) is a Sony research centre with the bold mission to develop the next-generation mobile CMOS image sensors, in the romantic setting of Trentino Dolomites. We are encouraged to bring groundbreaking creativity and innovation through the creation of a “dream team” of highly skilled and sharp integrated circuit design engineers.
We are now looking for a Digital Physical Implementation Engineer who will be supporting the backend flow of the whole digital top of Sony sensors.
You will work on the RTL-2-GDSII flow till the tape-out with pioneering technology nodes (<40nm), implementing all the steps from floor planning and macro placement to CTS, PnR, signoff and handoff, and eventually the ECO flow.
You have experience in handling multiple power domains and the skills to perform IR drop analysis on complex implementations, while bringing also a basic understanding of digital design, STA, CDC concepts, and a good knowledge of DFT structures, scan insertion and ATPG flow.
Being part of the Digital Design team, you will proactively help improve the flow and process, bringing new ideas and previous methodologies.
You Will:
3. Design, develop, modify and evaluate digital electronic parts, components or integrated circuitry for digital electronic equipment and other hardware systems.
4. Settle design approaches and parameters.
5. Analyse equipment to establish operating data, conduct experimental tests, and evaluate results.
6. Selects components and equipment based on analysis of specifications and reliability.
7. May also review vendor capability to support product development.
You Have:
8. PhD/MSc or equivalent experience in Engineering or Physics
9. Fluency in English
10. Proven experience in the Electronic/Semiconductor industry (preferably on modern technology nodes)
11. Experience in working with multi-ethnical and cross-functional and remote teams
12. Applicants must be legally authorized to work in Italy
Your new office
Trento
Surrounded by the Dolomite Alps, the EUTDC is located in Trento (one of the three top cities for life quality in Italy) and sits in a scientific ecosystem where universities, research institutes, companies, and organizations collaborate for the development and design of next-generation imaging and sensing solid-state devices. Our office offers some outstanding views of a breathtaking landscape, a break room with free hot drinks, and a canteen. Our team is a truly international community, each collaborating with passion and creativity.
Life at Sony
We ensure competitive salaries and benefits by using established benchmarking. We encourage healthy work-life balance supported by hybrid and flexible working policies. We are a positive community who dream big together, respect each other, and enjoy a collaborative culture.
We like to celebrate our colleagues who make a real difference using our recognition platform. We also provide access to numerous services and platforms for all aspects of wellbeing. We listen to our people through conversations and surveys, respect their suggestions, then act on them. We also provide allowances for volunteering days so that our staff can support the causes they are most passionate about.
You can build your career around you with our fantastic range of learning & personal development programs to enhance your skills. We also encourage our staff to try exciting new roles, in a wide range of countries, with a supportive mobility team to support you if you take that leap!
Bring your uniqueness to Sony
We are passionate about creating a culture that promotes equity and cultivates inclusion, diversity, and belonging. We want employees with diverse backgrounds and perspectives that will stimulate innovation and have a direct impact on our creation of social value to fulfil Sony’s purpose – ‘to fill the world with emotion, through the power of creativity and technology’. We want you to bring your unique self to work and help shape our culture.
We are Sony Europe.
Our people collaborate in an environment of respect, integrity, and open-mindedness. Diverse teams from all over Europe are the driving force for our business, and we embrace the differences that make each of us original and unique.
With offices in 28 European countries, Sony Europe caters to consumer, professional, semiconductor and healthcare sectors with innovative electronics products and solutions. Our European laboratories research and develop new technologies and capabilities that contributes to the Sony Group and important issues on a planetary scale.
Bring your passion, creativity and ambitions to Sony Europe. Apply now, and let’s create the future together.
Equal Opportunity
Sony Europe is an Equal Opportunity Employer. All qualified applicants will receive equal consideration for employment regardless of race, colour, religion, gender, citizenship, ancestry, age, physical or mental disability, sexual orientation, gender identity, medical condition, or any other protected characteristics.
Disability Accommodation for Applicants to Sony Europe
Sony Europe will provide reasonable accommodation for any qualified individuals with disabilities in the application process. For reasonable accommodation requests, please contact us by email at or by mail to: Sony Europe B.V, Human Resources Department, the Heights, Brooklands, Surrey, KT13 0XW, UK. When contacting us please indicate the position you are applying for, and the accommodation required.
#LI-CM1